Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Ознайомлення з середовищем проектування Aldec Active-HDL 8.3, моделювання та синтез простої схеми

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
КНІТ
Кафедра:
Не вказано

Інформація про роботу

Рік:
2015
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Комп ютерні методи високорівневого проектування систем захисту

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА» ІКТА Кафедра БІТ Звіт до лабораторної роботи № 1 з дисципліни: «Комп’ютерні методи високорівневого проектування пристроїв захисту» на тему: « Ознайомлення з середовищем проектування Aldec Active-HDL 8.3, моделювання та синтез простої схеми » Варіант №11 Львів - 2015 Мета роботи: ознайомлення з середовищем проектування програмних моделей обчислювальних пристроїв Aldec Active-HDL 8.3, набуття навиків створення програмних моделей простих електронних схем мовою VHDL та їх функціонального моделювання засобами Aldec Active-HDL 8.3. Основні теоретичні відомості Мова опису апаратних засобів VHDL Назва мови VHDL є скороченням виразу «Very high speed integrated circuits Hardware Description Language», що означає «мова опису апаратних засобів у високошвидкісних інтегральних схемах». Мова була розроблена в середині 1980-х років, і метою її розробки було отримання простого у використанні засобу проектування і моделювання логічних схем для всіх етапів розроблення електронних систем, починаючи від модулів мікросхем і завершуючи великими обчислювальними системами. Мова VHDL нагадує мови програмування, проте вона має ряд важливих відмінностей: програмні моделі цифрових пристроїв (ЦП), створені за допомогою мови VHDL мають, як правило, ієрархічну структуру; за допомогою мови VHDL можна здійснювати опис модулів у поведінковій (використовують математичні алгоритми, що описують їх роботу) або структурній (опис апаратної структури) формі; моделювання алгоритму роботи пристрою базується на принципі управління за подіями; VHDL дозволяє виконувати моделювання паралельних процесів в електричних схемах цифрових пристроїв, здійснювати часовий аналіз сигналів і їх параметрів; програмні моделі обчислювальних пристроїв, представлені мовою VHDL, можуть бути синтезовані в програмованих логічних інтегральних схемах (ПЛІС); використовуючи VHDL, можна проектувати, моделювати і синтезувати в ПЛІС практично будь-які ЦП, від простої комбінаційної схеми до завершеної мікропроцесорної системи на надвеликій інтегральній схемі (НВІС). Програмовані логічні інтегральні схеми Програмовані логічні інтегральні схеми (ПЛІС) з’явились близько двох десятиліть тому як альтернатива програмовним логічниим матрицям (ПЛМ). ПЛІС відрізняються від останніх як за архітектурою, так і за технологією виготовлення. ПЛМ є матрицею багатовходових (більше десятка входів) логічних елементів з тригерами, в яких програмуються конституанти одиниць (мінтерми) диз’юнктивних нормальних форм функцій цих елементів. В перших ПЛМ програмування виконувалось перепалюванням перемичок між джерелами сигналів змінних і входами логічних елементів. ПЛІС є матрицею маловходових (від двох до п’яти) логічних елементів, тригерів, відрізків ліній зв’язку, які з’єднуються перемичками з польових транзисторів. ПЛІС програмуються зміною рівня електричного поля в затворах цих транзисторів. Затвори всіх програмовних польових транзисторів підключені до виходів тригерів одного довгого регістра зсуву, який заповнюється при програмуванні ПЛІС. Файл конфігурації зазвичай зберігається в постійному запам’ятовуючому пристрої (ПЗП), який стоїть поруч із ПЛІС. Після увімкнення живлення або за сигналом скиду вона автоматично переписується в програмуючий регістр зсуву ПЛІС, таким чином виконуючи її конфігурування. ПЛІС виготовляється за технологією мікросхем статичного оперативного запам’ятовуючого пристрою (ОЗП). В порівнянні із CLPD, ПЛІС мають переваги: необмежена кількість перепрограмувань; більша логічна ємність (як правило на 2-3 порядки в числі еквівалентних логічних вентилів); менше енергоспоживання; топологія ПЛІС регулярна і масштабуюча, період розроблення і впровадження ПЛІС нових серій порівняно малий; виконується на найсучаснішій технології; в ПЛІС на порядок вища надійність. Важливо зазначити, що з розвитком інтегральних технологій вдосконалюються й технології виготовлення ПЛІС, збільшується їхня ємність, яка сьогодні сяг...
Антиботан аватар за замовчуванням

14.05.2017 23:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини